Home / Metal News / Big fund and China Micro Corporation sit on semiconductor equipment manufacturer Tuojing Technology impact Co., Ltd. Some of the products have entered the international advanced process production line.

Big fund and China Micro Corporation sit on semiconductor equipment manufacturer Tuojing Technology impact Co., Ltd. Some of the products have entered the international advanced process production line.

On July 12, the Shanghai Stock Exchange accepted Science and Technology Innovation Board's IPO application from Tuojing Technology Co., Ltd. The semiconductor thin film deposition equipment focused by Tuojing Technology together with lithography and etching machines constitute the three core equipment for chip manufacturing. According to the prospectus, Tuojing Technology's main products include three product lines: plasma enhanced chemical vapor deposition (PECVD) equipment, atomic layer deposition (ALD) equipment and sub-atmospheric pressure chemical vapor deposition (SACVD) equipment.

Under the high-profile demeanor of the semiconductor industry, another domestic semiconductor equipment manufacturer knocked on Science and Technology Innovation Board's door.

On July 12, the Shanghai Stock Exchange accepted Science and Technology Innovation Board's IPO application from Tuojing Technology Co., Ltd. (hereinafter referred to as "Tuojing Technology"). The semiconductor thin film deposition equipment focused by Tuojing Technology together with lithography and etching machines constitute the three core equipment for chip manufacturing.

According to the prospectus, Tuojing Technology's main products include three product lines: plasma enhanced chemical vapor deposition (PECVD) equipment, atomic layer deposition (ALD) equipment and sub-atmospheric pressure chemical vapor deposition (SACVD) equipment. In terms of process application, the company's products can be used in 14nm and above process IC production lines of domestic wafer factories, and has carried out verification testing of 10nm and below process products.

In terms of customer introduction, Tuojing Technology's products have been widely used in SMIC, Huahong Group, Changjiang Storage, Changxin Storage, Xiamen Lianxin, Yandong Microelectronics and other domestic mainstream wafer production lines. In addition, the company also revealed that in 2020, an international leading wafer factory added a PECVD equipment to the company for its advanced logic chip manufacturing trial production line.

One of the characteristics of the semiconductor equipment manufacturing industry is that the initial investment requirements are very high. In the development of Tuojing Science and Technology, it has successively obtained the capital support of many well-known capital and enterprises, such as National Big Fund (hereinafter referred to as "Big Fund"), China Micro Corporation, CIC Shanghai, Suzhou Juyuan and so on. At present, the big fund is the largest shareholder of the company, holding 26.4833%, and the company has no actual controller.

This time, Science and Technology Innovation Board IPO, Company plans to raise 1 billion yuan, of which about 400 million yuan will be invested in the "Advanced Semiconductor equipment Technology Research and Development and improvement Project." Overall, as global semiconductor manufacturers continue to expand production, semiconductor equipment is expected to continue to benefit.

Shipments of more than 150 sets of machines

The market scale of wafer manufacturing equipment accounts for more than 80% of the overall market scale of integrated circuit equipment, and thin film deposition is the core process of chip manufacturing.

According to different applications, thin film deposition has evolved different processes for wafer fabrication by different devices such as PECVD, sputtering PVD, ALD, LPCVD, and so on, of which PECVD accounts for 33% of the overall market for thin film deposition equipment.

Tuojing Technology is the only integrated circuit PECVD equipment manufacturer with industrial application in China. The prospectus shows that the PECVD equipment of Bauhinia Technology has been adapted to the manufacturing process requirements of 180-14nm logic chip, 19/17nmDRAM and 64thumb 128-layer FLASH.

According to the product model, at present, Tuojing Technology has a 12-inch PECVD device and an 8-inch PECVD has reached industrial application, 3 devices are in the industrialization verification stage, and another "12-inch PECVD device PF-300TpX" is in the research stage, which is mainly used in the manufacturing of logic chips below 10nm.

In contrast, another product of Bauhinia Technology, ALD, is one of the indispensable core equipment in DRAM and 3DNAND manufacturing.

According to the prospectus, there are three ALD devices in Tuojing Technology, of which the 12-inch PEALD device FT-300T is in the stage of industrial application, which is mainly used in logic chip 28-14nm nano-SADP, STILiner process, 55-40nmBSI wafer manufacturing, 2.5D, 3DTSV advanced packaging field; The other two devices are under research and development, of which FT-300T (Thermal) series equipment is mainly used in logic chip below 28nm process, FT-300H series equipment is mainly used in 128layer 3DNANDFLASH memory chip, 19/17nmDRAM memory chip wafer manufacturing.

In the field of SACVD equipment, Tuojing Technology is also the only integrated circuit SACVD equipment manufacturer for industrial application in China. at present, the company's 8-inch SACVD device SA-200T is the industrial application stage, which is mainly used in the wafer manufacturing of STI and ILD process above 90nm, while the 12-inch SACVD device SA-300T is in the industrialization verification stage, mainly used in the wafer manufacturing of 40-28nm process STI and ILD process.

Overall, Tuojing Technology has shipped more than 150 sets of machines, reducing the dependence of domestic integrated circuit production lines on international equipment manufacturers to a certain extent. In addition, in terms of advanced process, the company's PECVD equipment has delivered the advanced process R & D production line of an international leading fab, and ALD equipment has been sold to the domestic 14nm R & D production line.

Single income structure

During the reporting period (2018, 2019, 2020 and January-March 2021), the top five customers of,), Bauhinia Technology accounted for 100.00%, 84.02%, 83.78% and 100%, respectively. The company's cumulative sales of more than 100 million yuan of the main customers are SMIC, Huahong Group, Cheung Kong Storage, etc., in which the company's revenue from SMIC accounts for 14.80%, 27.09%, 45.73% and 17.94%, respectively.

In addition to the above-mentioned contract factories, Chongqing Wanguo Semiconductor (a power semiconductor company integrating 12-inch chip manufacturing and packaging and testing), Beijing Yandong (manufacturer of analog integrated circuits and discrete devices), Guangzhou Yuexin (the only 12-inch chip production platform in Guangdong Province), Hefei Jinghe Integrated Circuit Co., Ltd. (the first 12-inch wafer foundry in Anhui Province) and other manufacturers have also appeared in the top five customers of Tuojing Technology.

Although the products were imported to a large number of downstream wafer manufacturers, during the reporting period, the source of revenue of Tuojing Technology was relatively single, and its main income came from the sales of PECVD equipment. During the reporting period, the revenue contributed by PECVD equipment was 51.7028 million yuan, 248 million yuan, 418 million yuan and 52.943 million yuan respectively, accounting for 77.98%, 100%, 97.55% and 100% of the total revenue in each period.

A reporter from the "Science and Technology Innovation Board Daily" combed and found that there are mainly the following reasons for the company's single product structure: one is that the company's PECVD equipment was launched earlier, the product line is relatively rich, the downstream market is widely used, and the domestic market is mature; the other is related to the longer product verification cycle.

The prospectus shows that the whole process may take 6-24 months or more for new customers' first order or new process order equipment, while for repeated order equipment, it usually takes 3-24 months from shipment to acceptance. Therefore, if the company's product acceptance cycle is extended, its revenue recognition will be delayed.

The product verification cycle is long, and there is a large investment in R & D during the superimposed reporting period (the proportion of R & D expenses to operating income in each period is 152.84%, 29.58%, 28.19% and 47.02%), resulting in continuous losses during the reporting period. During the reporting period, the net profit of Tuojing Technology was-103 million yuan,-19.3664 million yuan,-11.6999 million yuan and-10.5892 million yuan respectively, but the company's loss is narrowing year by year.

There is much room for improvement in the rate of localization.

With the disclosure of the prospectus, the sales price and production quantity of Tuojing technology products are also made public.

From 2018 to 2020, the number of PECVD equipment produced by Tuojing Technology is 9, 22 and 50 respectively, while the number of sales is 4, 19 and 31 respectively. The average unit price of PECVD continues to increase slightly, to 12.9257 million yuan, 13.0381 million yuan and 13.4918 million yuan per unit.

Behind the chorus of quantity and price of products, on the one hand, it reflects the continuous improvement of the prosperity of the semiconductor industry, the demand of downstream wafer factories increases, and the demand for semiconductor special equipment also increases; on the other hand, with the development stage of China's semiconductor industry gradually maturing, domestic wafer manufacturers begin to pay attention to supply chain security and cost control when purchasing semiconductor special equipment. Therefore, domestic semiconductor equipment has become an important strategic choice for major domestic semiconductor manufacturers.

A reporter from Science and Technology Innovation Board Daily counted the 2020 performance of domestic companies in the same industry disclosed in the prospectus of Tuojing Technology, such as China Micro Corporation, Xinyuan Weiwei, Huahai Qingke, Shengmei shares, and so on. Benefiting from the improvement of the prosperity of the semiconductor industry, the revenue of the five companies showed year-on-year growth in 2020, with the highest revenue of 2.273 billion yuan for China Micro Corporation and the largest increase for Huahai Qingke, up 82.95% from the same period last year.

In terms of homing net profit, except for a small loss of Tuojing Technology, the net profit of other comparable companies is positive. The net profit of China Micro Corporation and Shengmei shares is 490 million yuan and 197 million yuan respectively. The other five companies showed year-on-year growth in 2020, of which Huahaiqing Co., Ltd. and China Micro Corporation increased by more than 100%.

Under the current trend of lack of core in the semiconductor industry, the major semiconductor contract manufacturers are continuing to expand their production capacity. In its latest report, SEMI (International Semiconductor Industry Association) predicts that global semiconductor manufacturers will start building 19 new high-energy wafer factories by the end of this year and another 10 in 2022.

According to the calculation of Minsheng Securities, SMIC and Huahong, the two major wafer foundry in China, need to use etching machine / lithography / CVD+PVD equipment 190 / 61amp 380 in 2021.

Tuojing Technology said that in the new production lines of downstream customers, domestic semiconductor equipment enterprises have the opportunity to obtain new business opportunities, and equipment products have the opportunity to be verified and tried out. To build a strong competitive environment for domestic semiconductor equipment enterprises to develop new products and expand market share.

Big funds are major shareholders

Tianyan survey shows that the predecessor of Tuojing Technology was funded by Shenyang Scientific Instruments Co., Ltd., Chinese Academy of Sciences and Sun Lijie in 2010, and has gone through many rounds of financing since its establishment.

In 2015, Tuojing Technology completed round A financing, led by big funds, and other investors also included SMIC Juyuan and Huaxing Investments. In August 2017, Tuojing Technology completed round B financing, with investors from China Investment Shanghai and CRRC Capital.

In May 2019, Dalian Port transferred its 3.9646% stake in Tuojing Co., Ltd. to China Micro Corporation at a transfer price of 19 yuan per yuan of registered capital. As of the date of signing of the prospectus, the big fund is the largest shareholder of Tuojing Technology, with a shareholding ratio of 26.4833%. China Investment Shanghai and China Micro Corporation ranked second and third largest shareholders, respectively, with a shareholding ratio of 18.2347% and 11.82%. In addition, Suzhou Juyuan and CRRC Capital own 1.8977% and 1.7095%, respectively.

It is worth noting that China Micro Corporation is not only a comparable company in the same industry of Bauhinia Technology, but also a potential competitor of Bauhinia Technology. According to the prospectus, in the field of ALD equipment, in addition to Bauhinia Technology, North Huachuang, Shengmei, Yitang and China Micro have launched self-made equipment or have plans to enter the ALD equipment market.

In addition, as of the date of signing of this prospectus, the number of shares held or controlled by a single shareholder does not exceed 30% of the total share capital of the company, unable to form a holding, and a single shareholder cannot determine a majority of seats on the board of directors. so that the company has no controlling shareholders and actual controllers.

Semiconductors
wafers
production
capacity

For queries, please contact Michael Jiang at michaeljiang@smm.cn

For more information on how to access our research reports, please email service.en@smm.cn

Related news

SMM Events & Webinars

All